Services - tools - models - for embedded software development
Embecosm divider strip
Prev  Next

3.8.  Building the Example

3.8.1. Command Files
3.8.2. Additional Flags

To facilitate building the models, a Makefile is provided in the top level directory. Three targets are provided.

For the simulate target, the time used by the iverilog compilation and the vvp execution are recorded (with time -p).

For the verilate target, the time used to create the Verilated model and the execution time of the complete SystemC model are recorded (also with time -p).

Embecosm divider strip