Services - tools - models - for embedded software development
Embecosm divider strip
Prev  Next

7.2.  Verilator Warnings

7.2.1. The CASEX Warning
7.2.2. The VARHIDDEN Warning
7.2.3. The IMPLICIT Warning
7.2.4. The WIDTH Warning
7.2.5. The CASEINCOMPLETE Warning
7.2.6. The COMBDLY Warning
7.2.7. The UNOPTFLAT Warning
7.2.8. Fixing Language Conflicts
7.2.9. Summary of Performance Gains from Verilator Warnings

This section addresses each of the Verilator warnings that occur with ORPSoC and show by example how to deal with each of these. In each case the problem is fixed, rather than the warning disabled. This allows the performance benefit of fixing each problem to be measured.

These are only a subset of all the warnings which Verilator may generate. However the approach to handling these examples will serve for any other warnings encountered in other designs.

Embecosm divider strip